Show simple item record

RingScalar: A Complexity-Effective Out-of-Order Superscalar Microarchitecture

dc.date.accessioned2006-09-25T16:01:50Z
dc.date.accessioned2018-11-24T10:25:06Z
dc.date.available2006-09-25T16:01:50Z
dc.date.available2018-11-24T10:25:06Z
dc.date.issued2006-09-18
dc.identifier.urihttp://hdl.handle.net/1721.1/34012
dc.identifier.urihttp://repository.aust.edu.ng/xmlui/handle/1721.1/34012
dc.description.abstractRingScalar is a complexity-effective microarchitecture for out-of-order superscalar processors, that reduces the area, latency, and power of all major structures in the instruction flow. The design divides an N-way superscalar into N columns connected in a unidirectional ring, where each column contains a portion of the instruction window, a bank of the register file, and an ALU. The design exploits the fact that most decoded instructions are waiting on just one operand to use only a single tag per issue window entry, and to restrict instruction wakeup and value bypass to only communicate with the neighboring column. Detailed simulations of four-issue single-threaded machines running SPECint2000 show that RingScalar has IPC only 13% lower than an idealized superscalar, while providing large reductions in area, power, and circuit latency.
dc.format.extent14 p.
dc.format.extent1561908 bytes
dc.format.extent957204 bytes
dc.language.isoen_US
dc.titleRingScalar: A Complexity-Effective Out-of-Order Superscalar Microarchitecture


Files in this item

FilesSizeFormatView
MIT-CSAIL-TR-2006-066.pdf957.2Kbapplication/pdfView/Open
MIT-CSAIL-TR-2006-066.ps1.561Mbapplication/postscriptView/Open

This item appears in the following Collection(s)

Show simple item record