Show simple item record

Energy Scalability of On-Chip Interconnection Networks in Multicore Architectures

dc.date.accessioned2008-11-14T05:00:09Z
dc.date.accessioned2018-11-26T22:25:48Z
dc.date.available2008-11-14T05:00:09Z
dc.date.available2018-11-26T22:25:48Z
dc.date.issued2008-11-11
dc.identifier.urihttp://hdl.handle.net/1721.1/43707
dc.identifier.urihttp://repository.aust.edu.ng/xmlui/handle/1721.1/43707
dc.description.abstractOn-chip interconnection networks (OCNs) such as point-to-point networks and buses form the communication backbone in systems-on-a-chip, multicore processors, and tiled processors. OCNs can consume significant portions of a chip's energy budget, so analyzing their energy consumption early in the design cycle becomes important for architectural design decisions. Although numerous studies have examined OCN implementation and performance, few have examined energy. This paper develops an analytical framework for energy estimation in OCNs and presents results based on both analytical models of communication patterns and real network traces from applications running on a tiled multicore processor. Our analytical framework supports arbitrary OCN topologies under arbitrary communication patterns while accounting for wire length, switch energy, and network contention. It is the first to incorporate the effects of communication locality and network contention, and use real traces extensively. This paper compares the energy of point-to-point networks against buses under varying degrees of communication locality. The results indicate that, for 16 or more processors, a one-dimensional and a two-dimensional point-to-point network provide 66% and 82% energy savings, respectively, over a bus assuming that processors communicate with equal likelihood. The energy savings increase for patterns which exhibit locality. For the two-dimensional point-to-point OCN of the Raw tiled microprocessor, contention contributes a maximum of just 23% of the OCN energy, using estimated values for channel, switch control logic, and switch queue buffer energy of 34.5pJ, 17pJ, and 12pJ, respectively. Our results show that the energy-delay product per message decreases with increasing processor message injection rate.en_US
dc.format.extent24 p.en_US
dc.subjecton-chip networksen_US
dc.subjectmulticoreen_US
dc.subjectenergy scalabilityen_US
dc.titleEnergy Scalability of On-Chip Interconnection Networks in Multicore Architecturesen_US


Files in this item

FilesSizeFormatView
MIT-CSAIL-TR-2008-066.pdf421.4Kbapplication/pdfView/Open
MIT-CSAIL-TR-2008-066.ps2.133Mbapplication/postscriptView/Open

This item appears in the following Collection(s)

Show simple item record